WD6122芯片需要接我的世界发射器器吗

HS0038--WD6122--CAPTURE-MODE 基于MSP430G2553的红外通信程序,芯片采用 与 12,使用CCS5.0编写 SCM 单片机开发 186万源代码下载-
&文件名称: HS0038--WD6122--CAPTURE-MODE& & [
& & & & &&]
&&所属分类:
&&开发工具: C-C++
&&文件大小: 25 KB
&&上传时间:
&&下载次数: 0
&&提 供 者:
&详细说明:基于MSP430G2553的红外通信程序,芯片采用HS0038与WD6112,使用CCS5.0编写-MPS430G2553,HS0038,WD6112, Software CCS5.0
文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉):
&&HS0038--WD6122--CAPTURE MODE\.ccsproject&&............................\.cproject&&............................\.project&&............................\.settings\org.eclipse.cdt.codan.core.prefs&&............................\.........\org.eclipse.cdt.debug.core.prefs&&............................\.........\org.eclipse.core.resources.prefs&&............................\Debug\ccsObjs.opt&&............................\.....\ccsSrcs.opt&&............................\.....\HS0038--WD6122--CAPTURE MODE.map&&............................\.....\HS0038--WD6122--CAPTURE MODE.out&&............................\.....\main.obj&&............................\.....\main.pp&&............................\.....\makefile&&............................\.....\objects.mk&&............................\.....\sources.mk&&............................\.....\subdir_rules.mk&&............................\.....\subdir_vars.mk&&............................\DEFINE.h&&............................\IR_KEY.h&&............................\LCD12864.h&&............................\lnk_msp430g2553.cmd&&............................\main.c&&............................\MSP430G2553.ccxml&&............................\.settings&&............................\Debug&&HS0038--WD6122--CAPTURE MODE
&输入关键字,在本站186万海量源码库中尽情搜索:2015物联网技术与创新应用大会
工业应用中的小批量快速原型制作技术研讨会
科技与体育的碰撞–智能羽毛球拍
第二届电源技术创新应用论坛
可穿戴创新设计方案技术专题
本专题精选各大原厂器件的可穿戴应用设计方案,旨在提供设计参考平台...
充放电技术方案专题
本专题精选了基于各大原厂器件的充放电方案,展示不同厂家方案设计技巧...
下一波,谁将是电源管理武林霸主?
电源市场风云变幻,全球知名电源管理芯片大厂,纷纷使出浑身解数,整合产品线...
分解前沿接口技术大势
当前,视频传输需求快速增加,促使接口技术不断朝向更高传输率发展...
4G正式开启 LTE测试设备主流厂商分析
安捷伦科技、JDSU、Anritsu和罗德与施瓦茨公司四大公司主导着这一市场...
含有 "ModelSim" 关键字的文章
1.第一次打开ModelSim 会出现Welcome to ModelSim 对话框,选取Create a Project,或者选取File\New\Project,然后会打开Create Project 对话框。 2.在Create Project 对话框中,填写test 作为Project Name;选取路...
电子发烧友网核心提示:对于FPGA初学者而言,如何正确了解并理解FPGA的仿真是关键。应广大FPGA初学者和爱好者要求,电子发烧友网编辑根据多名在FPGA领域有过多年工作经验 的前辈介绍...
近两年来,在物联网大潮推动下,安防领域对高清技术以及自身联网性挑战不断提出更高要求,视频监控设计需求急速攀升。从过去的“看得见”,到今日...
ADI应用工程师欧应阳表示,数字电源控制器将进一步推动电源技术领域,展示智能化、高效率、高功率密度电源系统,并能逐步的优化数字电源设计,具有...
创新实用技术专题
Copyright &
.All Rights Reserved2015物联网技术与创新应用大会
工业应用中的小批量快速原型制作技术研讨会
科技与体育的碰撞–智能羽毛球拍
第二届电源技术创新应用论坛
可穿戴创新设计方案技术专题
本专题精选各大原厂器件的可穿戴应用设计方案,旨在提供设计参考平台...
充放电技术方案专题
本专题精选了基于各大原厂器件的充放电方案,展示不同厂家方案设计技巧...
下一波,谁将是电源管理武林霸主?
电源市场风云变幻,全球知名电源管理芯片大厂,纷纷使出浑身解数,整合产品线...
分解前沿接口技术大势
当前,视频传输需求快速增加,促使接口技术不断朝向更高传输率发展...
4G正式开启 LTE测试设备主流厂商分析
安捷伦科技、JDSU、Anritsu和罗德与施瓦茨公司四大公司主导着这一市场...
含有 "悬浮力" 关键字的文章
近两年来,在物联网大潮推动下,安防领域对高清技术以及自身联网性挑战不断提出更高要求,视频监控设计需求急速攀升。从过去的“看得见”,到今日...
ADI应用工程师欧应阳表示,数字电源控制器将进一步推动电源技术领域,展示智能化、高效率、高功率密度电源系统,并能逐步的优化数字电源设计,具有...
创新实用技术专题
Copyright &
.All Rights Reserved2015物联网技术与创新应用大会
工业应用中的小批量快速原型制作技术研讨会
科技与体育的碰撞–智能羽毛球拍
第二届电源技术创新应用论坛
可穿戴创新设计方案技术专题
本专题精选各大原厂器件的可穿戴应用设计方案,旨在提供设计参考平台...
充放电技术方案专题
本专题精选了基于各大原厂器件的充放电方案,展示不同厂家方案设计技巧...
下一波,谁将是电源管理武林霸主?
电源市场风云变幻,全球知名电源管理芯片大厂,纷纷使出浑身解数,整合产品线...
分解前沿接口技术大势
当前,视频传输需求快速增加,促使接口技术不断朝向更高传输率发展...
4G正式开启 LTE测试设备主流厂商分析
安捷伦科技、JDSU、Anritsu和罗德与施瓦茨公司四大公司主导着这一市场...
含有 "Ba" 关键字的文章
利用系介质陶瓷材料研制的微波元器件,广泛应用于航空航天、军事及民用通信及电子设备中,在理论分析和工艺试验的基础上,通过对介质陶瓷材料组分和控制温度工艺研究,优化...
根据红外遥控芯片BA5104的编码格式,探讨使用AVR单片机ATmega16进行软件解码的两种方法:外部中断解码法和输入捕获功能解码法。详细阐述这两种解码方法的思路,并给出相应的解码中...
max+plus2 baseline10.0 软件是altera的有名的FPGA设计软件。可以使用大部分altera的fpga, max7000,max8000,max9000,flex10k,flex20k,apex...
随着蜂窝网络数据传输速率的提升,数据卡以及手机对于数据传输的需求也日益增加。就WCDMA手机功放而言,由于手机经常在基站密集的城市区域使用,因此在中低输出功率范围内需要高...
CAST, Inc与Beyond Semiconductor就CAST在全世界销售Beyond Semiconductor的BA22处理器核达成协议...
英国爱丁堡,日——欧胜微电子有限公司日前宣布其创新的超低功耗音频中心解决方案WM8994已被三星选用,应用在其具有突破性的新型智能手机Wave(澜系列)...
Acronis Backup和Recovery 10系列产品(Acronis)
Acronis 推出Acronis& Backup & Recovery& 10产品线的最新更新。新的更新...
盛群发布全新低电压用1.5V Battery MCU系列
盛群(Holtek)推出全新1.5V Battery MCU系列,共有4个型号,分别为I/O型的HT48R01M、HT48R02M及A/D型的HT46R01M、HT46R02M。全系列MCU内建DC/DC,...
The circuit of Figure 1 supports the many portable applications in which a microprocessor (&P) or microcontroller (&C) controls charging of the battery. IC1 is a step-up switching regulator that boosts VIN (nominally 5V) as nec...
Abstract: A simple circuit technique is described to increase the bandwidth of digital potentiometers by a factor of 10 to 100. Using this technique can enable digital potentiometers to be used in high frequency applications at video ba...
用双电源供电的运放或音调控制专用BA328集成电路制作的音调控制电路...
&&& BA1404...
BA1404是为数不多的调频发射集成电路之一,它弥补了过去用分立元件来设计调频电路的不足...
BA328&立体声前置放大电路&&&&nbsp...
近两年来,在物联网大潮推动下,安防领域对高清技术以及自身联网性挑战不断提出更高要求,视频监控设计需求急速攀升。从过去的“看得见”,到今日...
ADI应用工程师欧应阳表示,数字电源控制器将进一步推动电源技术领域,展示智能化、高效率、高功率密度电源系统,并能逐步的优化数字电源设计,具有...
创新实用技术专题
Copyright &
.All Rights Reserved

我要回帖

更多关于 1629663 的文章

 

随机推荐