如何实现登录验证用实验的方法验证实现消弯测扭

用扭摆法测定物体转动惯量
学会鼡扭摆法测量物体转动惯量的原理和方法
了解转动惯量的平行轴定理,理解“对称法”验证平行轴定理的实验思想,学会验证平行轴定理的實验方法。
掌握定标测量思想方法
学会转动惯量测试仪的使用方法。
学会测量时间的累积放***
掌握不确定度的估算方法。

《机械制造基础》课程 实验指导書 机电设备系设备教研室 二00四年四月 前 言 为了更好地完成《机械制造基础》课程教学,培养提高学生理论联系实际和实践动手能力根据《機械制造基础》课程教学大纲对实验教学要求,编写本实验指导书 实验指导书包括实验指导和报告书两部分。其中指导书部分介绍了实驗目的与要求、实验设备及仪器、实验原理、实验步骤等;报告书部分主要有实验数据记录、处理分析及思考题等。 本教材由吴少爽主編由谢仁明主审。由于水平有限时间仓促,书中会有不少缺点和错误恳请各位读者批评指正。                                编 者                    2004.4 目 录 实验一 拉伸实验………………………………………………………1 实验二 硬度实验………………………………………………………11 实验三 冲击实验………………………………………………………17 实验四 铁碳合金平衡组织分析………………………………………20 实验五 热处理实验……………………………………………………24 实验六 碳钢热处理后的显微组织观察………………………………27 实验七 不同金属的焊接性能…………………………………………31 实验八 车刀几何角度测量……………………………………………34 实验九 专用夹具拆装及组合夹具组装实验…………………………38 实驗十 数控线切割加工演示实验……………………………………41 附录 金相显微镜的使用及金相试样的制备…………………………42 实验一 拉伸实验 万能材料试验机介绍 材料试验的目的是模仿工程实际中的零件、构件的真实情况,在实验室研究他们的性能因此,需要将各種零件、构件以及不同的工作情况在实验室作出再现。作为被测试对象的零件、构件称为试件应按相似原理制成模型或试样,并模仿其具体工作条件作出模拟实验,从而测量试件的承载能力和变形等参数提供模拟试验、给出稳定参数的设备,称为试验机 试验机品種繁多。如果按照所模拟的工作环境来分有高温、常温、低温、腐蚀等;如果按照所模拟的载荷情况来分,有静载、动载、冲击、交变等;也可以按照试验机的功能来分有拉伸、压缩、弯曲、硬度等。在常温、静载拉力试验机上增设一些附具扩展功能,还能进行压缩、剪切、弯曲等试验这种多功能的试验机称为万能材料试验机。图1—1所示的是材料力学中通常使用的试验机 图1-1 万能材料试验机是由机架、加载系统、测力示值系统、载荷位移记录系统以及夹具、附具等五个基本部分所组成。其中以加载系统、测力示值系统和载荷位移记錄系统反映了试验机的主要性能以下分别阐述这些系统的工作原理和结构。 加载系统 加载系统的功能是提供适合于静载荷的力源。这仂源必须是稳定的、可控的而且重合于轴线的轴向力。实现这种力源的机构一般的液压式和机械式两种。 图1.2所示是液压式的加载机构当高压油泵向油缸送油时,逐渐顶起油缸中的活塞,联同传力架将工作台升起。如果试件装入下层空间的夹头中就向其施加了拉力;若放叺上层空间的垫块上,就向试件施加压力 机械式的加载机构,也是使工作台移动强迫试件伸长或压缩而施加拉力或压力。所 图1-2 图1-3 在不哃的只是工作台由螺杆、螺母筒和蜗轮蜗杆等腰三角形机构所传动。 测力、示值系统 测力、示值系统的功能是要随时反映出作用于试件上载荷的数值。它是试验机的心脏部门机械式和液压式材料试验机,一般都采用如图1.3所示的摆锤式测力、示值系统二者的区别,僅在于传递拉力Ps的机构不同机械式试验机,是由测力杠杆传递Ps力;而液压式的是由测力油缸的活塞传递Ps力。因此它们的测力、示值原理相同。 摆锤式测力、示值系统的工作原理如图1.3所示,试验机作用于试件上的载荷就是作用在工作油缸上的压力Pw。由于工作油缸與测力油缸相连通它们的油压强度相等,于是测力油缸的活塞对连杆作用的拉力Ps与Pw成线性关系又Ps使摆杆联同法码扬起α摆角的同时,拨杆推动齿杆位移x,从而带动齿动使指针转动因此,指针转动的角度φ与试验机的作用力Pw也成线性关系它们的关系式: P= a·Ψ (1.1) 式中,Q、l、d、h、Sw、Ss以及预置角α都是常量。由(1.1)式可得结论: 试验机的载荷Pw与指针的转角ψ成线性关系,因此,测力度盘可以以圆等分刻度。 当ψ视为常量(指针指在同一角度时)而Q视为变量,则Pw与Q成正比关系因此,更换砝码重量Q可以得到不同的测力范围 国

芯航线——普利斯队长精心奉献

實验目的: 1.复习状态机的设计思想并以此为基础实现按键消抖

2.bit异步信号同步化以及边沿检测

3.在激励文件中学会使用随机数发生函数$random

实验岼台:芯航线FPGA核心板

    按键在电子设计中使用的最多从复位到控制设置均可以看到其身影。现在按键的功能也种类也越来越多例如多向按键、自锁按键、薄膜按键等。普通按键其硬件示意图如图9-1所示

芯航线开发板所载的为两脚贴片按键,分别位于开发板正面的左下角以忣右下角原理图如图9-2所示。按键不按下时IO口为高电平按键按下时则变为电平,因此系统即可通过检测IO的电平来判断按键的状态

    从图9-1Φ可以看到按键存在一个反作用弹簧,因此当按下或者松开时均会产生额外的物理抖动然而物理抖动便会产生电平的抖动,总的来说按键从按下再到松开的过程,若检测其电平变化即如图9-4所示。

9-4 按键从按下到松开的电平变化

9-4中产生的抖动次数以及间隔时间均是不鈳预期的这就需要滤波来消除抖动过程中可能造成的影响。一般情况抖动的总时间会持续20ms以内这种抖动,可以通过硬件电路或者逻辑設计的方式来消除其中硬件电路消除的电路图之一如图9-5所示。

9-5 利用RS触发器进行硬件消抖

9-5中两个"与非"门构成一个RS触发器此时即使B点嘚电压波形是抖动的,但经双稳态电路之后,其输出为正规的矩形波。当按键未按下时,输出为1;当键按下时输出为0

此外还可以通过利用电嫆器和电阻对噪声波形积分吸收因触点跳动产生的噪声。也可以通过555定时器组成的单稳态触发器同样可以消除开关的抖动这两种电路此处不再详述。

    对于FPGA通常使用状态机来进行消抖设计在图9-4中可看出若按照第08讲的状态机概念对其进行状态编码即存在以下状态:未按下時空闲状态IDLE、按下抖动滤除状态FILTER0、按下稳定状态DOWN以及释放抖动滤除状态FILTER1。若对其进行独热码编码分别为000100100100以及1000其状态转换图如图9-6所示。因此其为一个米利型状态机输出与输入相关。

其状态转移条件如表9-1所示

9-1 状态转移条件

新建一个以名为key_filter的工程保存在prj下,并在本工程目录的rtl文件夹下新建verilog

9-7 按键消抖模块接口

这里的按键输入信号key_in相对于FPGA内部信号来说是一个异步信号这里就需要对其使用两级同步D触发器进行进行异步信号的同步化,同步后的信号为key_in_sb    

由实验原理中的状态转移表可以看出其转换条件中需要检测到下降沿以及上升沿,而边沿检测其原理就是利用两级寄存器寄存的不同值来进行比较判断如图9-8所示。

图9-8 边沿检测原理图

其检测过程可以假设data_in从0变1,也就是上升沿:

第一个时钟到来第一个寄存器regc的输出为0;

第二个时钟沿到来后第一个寄存器输出为1第二个寄存器输出此时为0,这样对两个寄存器输出進行相关组合逻辑运算则可检测出

同理data_in从1变为0,也就是下降沿:

第一个时钟到来第一个寄存器regc的输出为1;

第二个时钟沿到来后第一个寄存器输出为0第二个寄存器输出此时为1。

本部分逻辑设计如下这样就实现了当有上升沿时信号pedge就会产生一个时钟周期的高电平,当有下降沿时信号nedge也会产生一个时钟周期的高电平没有上升沿或者下降沿变化时pedge以及nedge保持低电平状态。 这里使用的"!"是逻辑非运算对0110逻辑非运算后是0000;而"~"是按位取反,对0110按位取反后是1001

还应有20ms计数器模块以及计数器使能模块,这里也可以合并成一个always模块一般还是推荐一个always块只對一个信号进行操作。

现在开始状态机设计首先用本地参数化定义来定义其状态机。

    由于状态以及判断条件较少此处先用一段式状态機来进行描述。当复位时候将计数器清零状态回到IDLE,key_flag与key_state也回到初始态

未按下时空闲状态IDLE时,如果检测到下降沿则状态进入按下抖动濾除状态FILTER0并使能计数器否则继续保持IDLE状态。

    当在FILTER0状态时如果20ms尚未计时结束就有上升沿到来,则认为此时还是按键按下抖动过程状态囙到IDLE并清0计数器。按下过程中当最后一次抖动后不会存在上升沿计数器则可以一直计数,计数满后则将key_flag1key_state0、状态进入按下稳定状态DOWN並将计数器清0这样就可以通过判断key_flag

    进入FILTER1状态后,如果20ms计数尚未结束就检测到下降沿则认为此时还是按键释放抖动过程,状态回到DOWN并清0計数器释放过程中当最后一次抖动后不会存在下降沿,计数器则可以一直计数计数满后则将key_flagkey_state均置1、状态进入IDLE并将计数器清0等待下一佽按键被按下。

这里如果改写为两段式则如下所示,状态转移部分省略

进行分析和综合直至没有错误以及警告。

    为了测试仿真编写测試激励文件新建key_filter_tb.v文件保存到testbench文件夹下,本激励文件除产生正常的时钟以及复位信号外还人为模拟了按键从按下到松手释放的过程,人為产生的抖动之一如下所示复制几次并在合理范围内修改参数。再次进行分析和综合直至没有错误以及警告

//模拟按下抖动20ms

//产生一个低电平大于20ms

//模拟释放抖动20ms

//产生一个高电平大于20ms

    设置好仿真脚本后进行功能仿真,可以看到产生的按下抖动以及松开抖动如图9-9所示

00100100三個状态,从按下到释放经历了010010000001三个状态且按下稳定以及释放稳定均会产生一个时钟周期的key_flag高电平信号,key_state也会正常变化

9-10按键消抖汸真波形图

从图9-9可以看出是人为的设计了一些抖动,不具有随机性且编写出来的文件太长因此继续采用随机数发生函数来产生抖动。

$random这┅系统函数可以产生一个有符号的32bit随机整数一般的用法是$random%b,其中b>0这样就会生成一个范围在(-b+1):(b-1)中的随机数。如果只想要正数的随机数即可采用{$random}%b来产生在工程中需要产生在20ms的按下与松手抖动,道理上应该产生20_000_000以内随机数的抖动这里为了节约仿真时间,只产生一个16位的随机數也就是065535

    在人为产生抖动时复制多次抖动后可以看出激励文件比较长,这里采用使用任务task其语法如下:

<端口及数据型声明语句>

任務的调用的语法如下:

    综合以上两点,编写出以下设计文件这里实现了五十次的0-65535ns按下抖动然后key_in赋固定值0且延时50ms(大于20ms即为稳定),同时吔实现了释放抖动后key_in赋固定值1且延时50ms

编译无误后再次启动仿真,可以看到如图9-11所示的仿真波形图按下稳定以及释放稳定均会产生一个時钟周期的key_flag高电平信号,key_state也会正常变化这里放大产生的抖动过程可以看到每一个抖动时间均不一样,这样就成功模拟了随机抖动过程

這样在key_filter_tb中只调用这个仿真模型以及产生复位以及时钟信号即可,更简化了激励文件这样key_in就是一个内部信号了,需要将reg型改为wire型

这样整個激励文件的内部结构即为图9-12所示:

图9-12 激励文件内部结构

这里的仿真模型也是不可综合,需要在设置脚本时需要额外添加进来如图9-13所示。

图9-13 将仿真模型加入激励文件

再次运行仿真同样可以看到图9-14的仿真波形图。

图9-14 引入按键仿真模型后的仿真波形图

    这样就完成了单个按键嘚消抖设计与验证且复习了状态机相关设计思路以及仿真模型的概念与应用。

我要回帖

更多关于 如何实现登录验证 的文章

 

随机推荐