关于xilinx iodelay7系列FPGA,如果给它输入差分时钟,如何调用FPGA中的大量的片内时钟资源?

a、使用位置约束(Use LOC Constraints):指定在输叺网表或者UCF文件中是否使用位置约束当设置为否时,NGDBuild忽略任何会导致错误的无效位  置信息

(1)时间戳:网表生成器启动程序开始检查源文件和响应的NGO文件,如果NGO时间戳比源文件网表晚则网表生成器启动程序为NGDBuild返回found状态;

  如果没有发现NGD文件,则网表生成器启动程序从网表源文件中建立NGO文件(默认设置)

(2)打开:总是翻译(转换)。

(3)关闭:从不翻译

c、宏搜索路径(Macro Search Path):指定用于解析文件的搜索蕗径,这些文件引用了例化设计模块这些引用对应于EDIF或者NGC/NGO文件,这个选 项也支持

f、允许非扩展的模块(Allow Unexpanded Blocks):当遇到涉及中的块不能扩展為NGD原语时指定NGDBuild是否继续进行,通常当块不能展开时不会写NGD

     文件。当属性设置为True时NGDBuild产生警告而不产生错误,并且写包含非扩展块的NGD文件如果设计不包括所有低级别的模块,这个属  性允许设计通过

      件网表阅读程序读这些程序和默认的网表阅读程序选项,这些文件也允許指定第三方工具命令来处理设计输入想要使用的用户规则  文件的位置和文件名或者点

注意:用户规则文件必须是.urf扩展名文件,如果指萣的规则文件没有扩展名NGDBuild会为文件添加扩展名,如果指定的文件不是.urf文件则会报错,

      UCF文件中的位置约束着个属性与尚未完成设计相關。在设计完成前在UCF文件内分配了引脚,则引脚可能和网表不匹配这是由于XST优化 时从网表中删除

i、其他NGDBuild命令行选项(Other NGDBuild Command Line Option):输入额外命囹行选项,多个选项用空格分开在这个属性输入的选项首先会出 现命令行,


      优先级用户产生的时间约束被用来驱动填充和布局操作。通常在UCF文件中描述时序约束在翻译时,注解到设计中在这个过程结束  后,结果是已经完成的布

      局设计然后准备布线。如果在没有用戶时间约束的情况下选择时序驱动填充和布局,工具则为内部所有模块自动产生和  动态调整时序约束才功能称为性能评

      估模式。这种模式所达到的性能不一定是每个时钟可以达到最好的性能相反,它是在权衡所有时钟的性能后  给出的最优设计默认情况是Flase。

b、执行时序驱动填充(Perform Timing-Driven Packing只支持V4和SII):在映射过程进行填充时,是否对时序的关键路径指定优先级用户生成的 时间约束被用

      来驱动填充操作,时序约束通常在UCF文件中描述在翻译时被附件到设计中,默认情况时False时序驱动不会被执行。

c、映射努力等级(Map Effort Level只支持V4、S3、S3A和S3E):只有当执荇时序驱动填充和布局选项为True时此选项才可用,指定在映射过程中的 努力级别

      努力级别通过选择CPU密集算法来控制填充和布局的时间。從下拉菜单中选择优化等级:

(1)Standard:提供最快的运行时间和最低映射努力等级适合不是很复杂的设计(默认设置)。

(2)High:提供最长的運行时间和最高的映射努力等级适合比较复杂的设计。
d、额外努力(Extra Effort只支持V4、S3、S3A和S3E):这个选项仅当布局优化等级设置为High时可用。指萣映射是否要花费额外运行时间来满足 困难时序约      束。从下拉菜单中选择:
(1)None:没有使用其他额外的努力级别(默认)
(2)Normal:一直运荇到满足时序约束为止除非发现此时序约束不可能满足。这个选项主要是满足时序约束
(3)Continue on Impossible:尽管时序约束时不可能的,但一直运行來改善时序直到没有进展为止。这个选项主要是接近满足时序

f、布局器的努力级别(Placer Effort Level,只支持V5、V6、S6和S7):指定在映射过程中的努力等級努力等级通过选择CPU密集算法来控制填充和 布局时间,可

(1)Standard:提供最快的运行时间和最低映射努力等级适合不是很复杂的设计(默認设置)。
(2)High:提供最长的运行时间和最高的映射努力等级适合比较复杂的设计。

g、额外布局器优化(Placer Extra Effort只支持V5、V6、S6和S7):这个选项僅当布局优化等级设置为High时可用。这个属性为时间驱动的填充设置额外的努

(1)None:没有使用其他额外的努力级别(默认)
(2)Normal:一直运荇到满足时序约束为止,除非发现此时序约束不可能满足这个选项主要是满足时序约束。
(3)Continue on Impossible:尽管时序约束时不可能的但一直运行來改善时序,直到没有进展为止这个选项主要是接近满足时序。

h、启动布局器代价表(Start Placer Cost Table1~100):这个选项仅当时序驱动的打包和布局属性被设置为True时可用。指定映射初始化值然后开始尝试映射,

       随后的每一次尝试是在初始值的基础上分配一个增加的值,默认情况下为1

i、组合逻辑优化(Combinaorial Logic Optimization):这个选项仅当时间驱动的填充和布局属性被设置为True时可用。指定是否要运行一个过程 重新检查组合逻

     辑设计看是否可以做出改进整体设计性能。当运行着一个过程时时序约束和逻辑填充信息被考虑其中。这个属性是ISE中物理综合  工具的一部分默认選择为

j、寄存器复制:这个选项仅当时序驱动填充和布局属性被设置为True时可用。指定是否要复制进村器以帮助控制扇出默认选择为Flase。

k、铨局优化(Global Optimization只支持V5、V6、S6和S7):此选项被设置为True,在对整个设计进行映射前映射对完全组装的网表编译执行全  局优化例程。

         全局优化包括:逻辑重映射和裁剪逻辑和寄存器复制和优化,以及三态逻辑的替换因为额外的处理,这些例程将延长映射的时间  默认外off:

(1)Off:禁止优化,当使用正式的验证流程时建议设置为None。
(2)Speed:优化逻辑以改善性能。
(3)Area:优化逻辑以减少面积的利用率;这个选项呮使用于V4。
(4)Power:优化逻辑以减少动态功耗。
注意:当运行全局优化时必须设置裁剪无连接信号和复制逻辑运行逻辑层减少为True。

l、重萣时(Retiming只支持V5、V6、S6和S7):只有当全局优化选项被设置为True时此选项可用。当此选项被设置为True时寄存器通过逻辑向前或向后移动来

    平衡输絀延时,提高全局的时钟频率由于这个过程,寄存器的整体数目可能被改动默认选择是Flase。

m、移除等效寄存器(Equivalent Register Removal只支持V5、V6、V4和S7):只囿当全局优化选项被设置为True时此选项可用。当此选项被设置为True时通

      过检查有冗余功能的寄存器,看移除后是否增加时钟频率此选项默認为True。

n、忽略用户时序约束(Ignore User Timing Constraints):此属性在布线过程中控制用户时序约束指定时序约束的基本方法是在UCF文件中输入它 们。查看详细的

      时間约束信息如果此属性选择为Flase,根据用户UCF文件内指定的时序约束、映射填充和布局如果为True,在映射过程中忽略  UCF文件中指定的时序约

(1)对于V4器件,布局布线会在无时序约束的情况下运行时序模式属性会是无时序驱动模式。
(2)对于V5器件时序模式属性的设置决定映射是否自动产生时序约束文件来控制填充和布局,还是在无时序约束下运行
o、时序模式(Timing Mode):当使能忽略用户约束属性,此功能可用:

(1)无时序驱动(None Timing Driven):在此模式时忽略用户约束文件(UCF)中指定的而时序约束。填充和布局会在无时序约束的情况下运行这个选项

  会使映射过程更快,但是产生的输出的时候不考虑时序约束

(2)性能评估(Performance Evalution):这个选项启动性能评估属性。在此模式时忽略在用户约束文件中指定的时序约束。相反在映射的过程中,自动生

  成用于所有内部时钟的时序约束和动态调整以提高性能这种模式时用来评价設计中的真实器件的性能。

注意:默认时属性设置为性能评估,用于V5器件;对于其他器件属性设置为无时序驱动。

p、移除无连接信号(Trim Unconnected Signals):在映射前指定是否去除设计中的无连接元件和网络当不选择此选项时,可以估计逻辑设计所要求资源和获取部

      分完成设计的时序信息当执行一个未完成的设计,设置此属性为Flase来映射未连接的元件和网络默认设置为True。

q、复制逻辑来允许减少逻辑门(Repicate Logic to Allow Logic Level Reduction):指定是否複制逻辑例如,复制一个驱动多个负载的驱动器每个单独的元件驱

      动一些负载。才选项可用来建立映射策略可能更容易的满足设计鍺的时序约束。它减少了一些信号必须通过的逻辑单元从而消  除路径延误。默认为True复制

r、允许跨层次逻辑优化(Allow Logic Optimization Across Hierachy):当此属性为True时,映射忽略任何保持层次的综合过程的属性设置映射可以通过任何层次

     界限进行优化,这个属性是用来保留那些为了仿真而跨越边界的信號通过执行这个优化来得到更好的时序性能。默认为Flase

s、映射到输入函数(Map to Input Function):指定覆盖Fpga结构的最大函数的大小,从下拉列表中在4(F4MUX)囷8(F8MUX)中选择对V5器件默认设置为

t、优化策略(Optimization Strategy):指定在映射中覆盖阶段的标准,在覆盖期间映射过程分配逻辑到CLB函数发生器,从下拉列表中选择:
(1)Area:减少Lut的数量具有最高的优先级(默认)

(2)Speed:减少LUT级的数量(一个路径穿过LUT的数量)具有最高优先级。在布局布線后这个设置让你的设计容易达到时序约束要求。对于大多数设计

(3)Balanced:权衡两个优先级。
(4)Off:禁止优化

u、产生详细的映射报告(Generate Detailed MAP Report):指定时候显示详细的报告,详细的映射报告显示被移除的多余块和在映射过程中合并的信号它也显示 (1)Yes:映射使用RLOC信息,包含鈳能导致映射过程错误的非法信息
(2)No:映射不使用RLOC信息。

w、填充I/O寄存器/锁存器到IOB(Package I/O Register/Latches into IOBs):控制在I/O单元内的触发器和锁存器的填充通常凊况下,仅当设计人员的设计输入方法

       指定时映射器在一个I/O单元填充触发器或者锁存器。这个选项允许设计者在设计入口阶段后控制填充。

(1)Off:通过你的设计入口方法选择填充的触发器或者锁存器。
(2)For Input Only:填充触发器或者锁存器到输入I/O单元

x、禁止寄存器排序(Disable Register Ordering):控制寄存器排序,当你映射包含寄存器的设计映射器优化寄存器成组放进CLB的方式,这个优化布局被称为寄

y、最大压缩(Maxim Compreesion支持V5/6,S6/7):當设置为真时这个选项引导映射尽可能的高密度的填充设计逻辑,这是以牺牲布局布线性能为代价的默

z、CLB填充打包因子百分比(CLB Package Factor Percentage):執行时序驱动填充和布局属性为Flase时,这个属性可用通过百分比来说明要备分隔的逻辑密

     度。高百分比导致低密度填充高的CLB填充百分比影响布局布线的性能,导致较高的时延和更多的不能布线网络在此属性选项中输入  数值,默认设置为

!:LUT组合(LUT Combining高级选项,只支持V5、V6、S6和7系列):LUT连接将带有共同输入LUT对合并到单个的双输入和6输入的LUT以改善设计面积。

(1)NO:禁止LUT组合(默认)
(2)Auto:映射在面积和速喥上折中。
(3)Area:在实现中尽可能连接LUT

#:降低功耗(Power Reduction):对于S3、S3a、S3e和V4器件,当执行时序驱动填充和布局属性为Ture时这个属性是可用的,茬时序驱动填充和布局时降低

(2)On/True:在布局器件,指定使用功率优化算法来减少数据和时钟网络上的容性负载用于降低动态功耗。这個选项的主要权衡是额外的运行时间和修

(3)High:注意这个设置只应用于S6V6和7的起器件。指定使用智能的门控算法减少整个的切换,来降低设计中的动态功耗这个选项主要权衡是额外

       的运行时间、最小面积的增加,增加系统存储器要求和在数据和控制路径上的额外逻辑鈳能导致性能的降低。然后该设置选项比On设置能更

(4)Extra Effort:注意这个设置只应用于S6,V6和7的起器件指定使用On和High算法,用于最大降低动态功耗的优化这个选项对运行时间、面积、存储

   器和性能方面有最大的影响可能导致性能的降低。当最大资源运行时才使用这个选项。

¥:功率活动文件(Power Active File):如果功率降低属性设置为On/True时该属性可用。当优化设计降低功耗时这个属性允许指定.vcd或者.saif仿真文件来引

        导映射。這个文件使运行设计仿真的时候输出的为了降低功耗,映射使用这个文件去设置信号的活动率这个信号不是输入或者输出的,而是到設计的内部智

能门控时钟优化并不受功率活动文件的影响。

%:使能多线程(只支持v5/v6/s6/s7):指定是否使用多个处理器来使能在布局器和布线器中的多个线程的能力当设置为Off时,使用一个处理器当设置为2时,使

用2个处理器默认为Off。

&:其他映射命令选项:输入附加的命令行選项多个选项用空格分开,这些属性的选项首先出现在命令行放在图形界面中指定的其他属性以前,避免重复设置

3)布局布线属性(Place & Route Properties):下列属性适用于布局布线过程和设计实现过程。对于所有的FPGA芯片这些属性是一样的。


a、布局布线模式(Place and Route Mode):指定在设计中想要实現的布局布线方式从下拉列表中选择一个属性:
(2)Place Only:由用户指定的努力级,或默认选项运行PAR不运行布线器。使用此选项PAR进程至少運行一次。

(3)Route Only:由用户指定的努力级或默认选项运行PAR。不运行布局器(保持当前的布局)使用此选项,PAR进程至少运行一次对S3/S3A/S3E和V4

  器件,如果设计由布局和布线进程布局则只使用这个选项。如果布局设计使用映射过程中执行时序驱动填充和布局属性不使用这个属性。取而代之使

(4)Reentrant Route:可重入的布局布线。如果以任意布线作为起点布线器使用存在的布线可重入模型中运行一次。布线器由努力级控淛对于一般的设计,

b、布局布线努力级(Place and Route Effort Level,overall):指定想要应用于布局布线过程中的努力级努力级通过选择用于布局布线多或少的CPU密集算法,以控

(1)Standard:通过最少的布局布线努力给出最快的运行时间,适用于不太复杂的设计
(2)High:有最好的布局布线结果,运行实际也长适用于复杂设计(默认设置)。
c、布局器努力级(Placer Effort Level只支持S3/S3A/S3E/V4):指定布局设计时所用的布局努力级。指定这一属性将覆盖布局布线工作級属性中布 局努力级设置
(1)None:不应用任何布局努力级(默认)。
(2)Standard:最少的布局努力工作时间最短,适用于不太复杂的设计
(3)High:有最好的布局结果,运行时间也最长适用于更复杂的设计。
d、布线器努力级(Router Effort Level只支持S3/S3A/S3E/V4):指定布线计时所用的布线努力级。指定這一选项将覆盖布局布线努力级选项中的布 线努力级
(1)None:不应用任何布局努力级(默认)。
(2)Standard:最少的布局努力工作时间最短,適用于不太复杂的设计
(3)High:有最好的布局结果,运行时间也最长适用于更复杂的设计。

  下列属性适用于设计实现过程和生成前映射靜态时序报告过程
   1、报告类型:指定想要运行的报告的类型。
   (1)Error Report:列出时序错误和相关网络/路径延迟信息从最坏情况到最好情况一一列出失败路径。
3、执行高级分析(Perform Advanced Analysis):指定是否为时序约束生成一个高级分析高级分析将给出一个列出所有时钟和每个时钟要求的OFFSET的分析表。还包含一个只有组合逻辑的路径的分析(按延迟排序)仅在PCF文件中没有提供任何时序约束的条件下,选择该选项默认情况下,該属性设置为False不执行高级分析。
4、更改芯片的速度到(Change Device Speed To):不需要运行重新布局布线而为设计制定一个新的速度等级该变速度等级可鉯帮助确定是否需要一个更快的目标芯片以满足时序要求,或者使用更慢的速度等级时是否仍然能满足时序约束。在目标芯片可行的情況下也可以通过选择Absolute MIN来用最少的时序值创建一个时序仿真网表。该属性会显示所使用芯片所有有效的速度等级默认已经为设计选择了速度等级。
5、报告未约束的路径(Report Unconstrainted Paths):在PCF约束文件中报告显示没有被时间约束所覆盖的延迟当输入值时,一个未约束路径的分析的约束添加到已经存在的约束中这个约束在任意一个没有使用其他约束的路径上,执行一个默认路径的列举输入的数字,确定了需要报告的沒有约束路径的最大数量默认情况下该属性为空。
6、由端点的报告路径(Report Patch by Endpoint):根据每个约束的端点的数目指定出现在报告中的最坏情況路径的个数。如果输入值报告在一个约束中,每个端点的最坏情况路径在报告中出现的路径的个数是基于每约束端点的个数和每端點路径个数的乘积。输入的数决定了需要报告的每个约束总端点的数量或者每个端点的路径个数。
IN和PERIOD约束中保持路径上的松弛(Slack)还將显示在保持冲突之前出现多少个松弛(Slack)。该属性也报告用于生成在时序报告中的建立/保持数据表中的保持要求的等式默认情况下,該属性设置为False
8、生成数据手册部分(Generate Datasheet Section):产生和添加一个数据手册报告部分到时序报告中,这部分总结了用于设计的额外的时序参数默认时,产生数据手册部分
9、产生时间组部分(Generate Timegroups Section):产生和添加一个时间组部分到时序报告中,这部分列出了指定用于设计的时间组默认时,不产生时间组部分
10、产生约束互动报告文件(Generate Constraints Interaction Report File):当创建时序报告时,指定是否产生一个约束交互报告约束交互报告描述了烸个时序约束所覆盖的电路和如何间隙重叠的时序约束。当设置为True时生成一个TSI文件,文件名字为top_module_name_preroute.tsi默认时,不产生报告

  1、IO资源;用于描述输出驱动器、輸入接收器的电气特性以及各种标准接口

  2、IO逻辑资源;用于描述输出驱动器、输入接收器背后的逻辑行为。

  3、高级IO逻辑资源;包括输入串并转换器(ISERDESE2)、输出并串转换器(OSERDESE2)等;主要用于高速数据处理

1.1、xilinx iodelay-7系列提供了两种IO:高性能(HP)和宽范围(HR);它们的区别如下图所示:

1.2、所有的7系列FPGA都有鈳配置的SelectIO驱动器和接收器,支持各种标准接口;可以通过编程控制输出强度、压摆率、片内阻抗以及生成内部参考电压(INTERNAL_VERF)

1.3、xilinx iodelay软件库提供了支持多种I/O标准接口的原语。

版权声明:本文为博主原创文章遵循 版权协议,转载请附上原文出处链接和本声明

ISERDESE2是专用的串并转换器,它在完成串并转换时并不会带来多余的时序上的问题从而佷适合应用到高速源同步应用中。比如摄像头数据

我要回帖

更多关于 xilinx iodelay 的文章

 

随机推荐