输入波形cin是一段周期不一样常见的脉冲波形形,如何用verilog编写一个能计算各个周期的在CLK的实际脉冲数

怎么样用verilog产生两个相位差为90度的脈冲信号

求教,怎么样把一个脉冲信号变为两个相位差为90度的脉冲信号注:输入脉冲信号频率不确定。

你已经指定是脉冲信号了那鈈能差90度了,脉冲信号尖峰的一般有相位差的信号指正旋信号或差分的矩形信号。


在电路上做两个相位差90度的比较麻烦


周期性的非连續信号,不应该都叫做脉冲信号吗


不理论什么是脉冲信号。不知道你的波形什么样子 产生两路正交的信号,你可找下锁相环电路比較方便的由一路信号产生两个正交的信号。不过还是要看你的是什么波形产生的正交信号合不合你用。 不会verilog如果和可编程器件产成的話,先用比较器或用检波的方法检测到这个脉冲然后用两个IO触发后面的波形生成器,这两个IO的触发时间要差90度后面再接一级波形合成電路。


2由周期推算相移所需的延迟时间;

3,将输入信号延迟后就是你想要的相移信号了。


FPGA内部锁相环可以帮你


匿名不能发帖!请先 [ ]

内容提示:第6章脉冲波形的产生囷整形

文档格式:PPT| 浏览次数:0| 上传日期: 17:35:15| 文档星级:?????

全文阅读已结束如果下载本文需要使用

该用户还上传了这些文档

我要回帖

更多关于 常见的脉冲波形 的文章

 

随机推荐