如何将任务3的8选1八选一数据选择器器

怎么用8选1数据选择器实现四变量的逻辑函-中国学网-中国IT综合门户网站
> 信息中心 >
怎么用8选1数据选择器实现四变量的逻辑函
来源:互联网 发表时间: 22:00:54 责任编辑:鲁晓倩字体:
为了帮助网友解决“怎么用8选1数据选择器实现四变量的逻辑函”相关的问题,中国学网通过互联网对“怎么用8选1数据选择器实现四变量的逻辑函”相关的解决方案进行了整理,用户详细问题包括:RT,我想知道:怎么用8选1数据选择器实现四变量的逻辑函数,具体解决方案如下:解决方案1:成电路。其基本功能是完成对多路数据的选择与分配、在公共传输线上实现多路数据的分时传送。此外,还可完成数据的并-串转换、序列信号产生等多种逻辑功能以及实现各种逻辑函数功能。因而,属于通用中规模集成电路。
一 . 多路选择器
多路选择器(Multiplexer)又称数据选择器或多路开关,常用MUX表示。它是一种多路输入、 单路输出的组合逻辑电路。
1.逻辑特性
(1) 逻辑功能:从多路输入中选中某一路送至输出端,输出对输入的选择受选择控制量控制。通常,对于一个具有2n路输入和一路输出的多路选择器有n个选择控制变量,控制变量的每种取值组合对应选中一路输入送至输出。
(2) 构成思想: 多路选择器的构成思想相当于一个单刀多掷开关,即
2.典型芯片
常见的MSI多路选择器有4路选择器、8路选择器和16路选择器。
(1) 四路数据选择器T580的管脚排列图和逻辑符号
图7.14(a)、(b)是型号为T580的双4路选择器的管脚排列图和逻辑符号。该芯片中有两个4路选择器。其中,D0~D3为数据输入端;A1、A0为选择控制端;W、W为互补输出端。
图7.14 T580的管脚排列图和逻辑符号
(2) 四路数据选择器T580的功能表
四路数据选择器的功能表如表7.4所示。
表7.4 四路选择器功能表
选择控制输入
数 据 输 入
D0 D1 D2 D3
(3) 四路数据选择器T580的输出函数表达式
由功能表可知,当A1A0=00时,W=D0;当A1A0 =01时,W=D1;当A1A0 =10时,W=D2;当A1A0 =11时,W=D3。即在A1A0的控制下,依次选中D0~D3端的信息送至输出端。其输出表达式为
式中,mi为选择变量A1、A0组成的最小项,Di为i端的输入数据,取值等于0或1。?
类似地,可以写出2n路选择器的输出表达式
式中,mi为选择控制变量An-1,An-2,…,A1,A0组成的最小项;Di为2n路输入中的第i路数据输入,取值0或1。
3.应用举例
多路选择器除完成对多路数据进行选择的基本功能外,在逻辑设计中主要用来实现各种逻辑函数功能。
(1) 用具有n个选择控制变量的多路选择器实现n个变量函数
一般方法:将函数的n个变量依次连接到MUX的n个选择变量端,并将函数表示成最小项之和的形式。若函数表达式中包含最小项mi,则相应MUX的Di接1,否则Di接0 。
例1 用多路选择器实现如下逻辑函数的功能
??? F(A,B,C)=∑m(2,3,5,6)?
解 由于给定函数为一个三变量函数故可采用8路数据选择器实现其功能。?
? 因为8路数据选择器的输出表达式为
逻辑函数F的表达式为
比较上述两个表达式可知:要使W=F,只需令A2=A,A1=B,A0=C且D0=D1=D4=D7=0,而D2=D3=D5=D6=1即可。据此可作出用8路选择器实现给定函数的逻辑电路图,如图7.15所示。
图7.15 逻辑电路图
上述方案给出了用具有n个选择控制变量的多路选择器实现n个变量函数的一般方法。
(2) 用具有n个选择控制变量的多路选择器实现n+1个变量的函数 一般方法:从函数的n+1个变量中任n个作为MUX选择控制变量,并根据所选定的选择控制变量将函数变换成如下形式:
以确定各数据输入Di。假定剩余变量为X,则Di的取值只可能是0、1或X,X四者之一。
例2 假定采用4路数据选择器实现逻辑函数
F(A,B,C)=∑m(2,3,5,6)?
解 由于四路选择器具有2个选择控制变量,所以用来实现3变量函数功能时,应该首先从函数的3个变量中任选2个作为选择控制变量,然后再确定选择器的数据输入。假定选A、B与选择控制端A1、A0相连,则可将函数F的表达式表示成如下形式:
显然,要使4路选择器的输出W与函数F相等,只需D0=0、D1=1 、D2=C 、D3=C 。据此,可作出用4路选择器实现给定函数功能的逻辑电路图如图7.16所示。类似地,也可以选择A、C或者B、C作为选择控制变量,选择控制变量不同,将使数据输入不同。
图7.16 逻辑电路图
上述两种方法表明:用具有n个选择控制变量的MUX实现n个变量的函数或n+1个变量的函数时,不需要任何辅助电路,可由MUX直接实现。
(3) 用具有n个选择控制变量的多路选择器实现n+1个以上变量的函数
当函数的变量数比MUX的选择控制变量数多两个以上时,一般需要加适当的逻辑门辅助实现 。在确定各数据输入时,通常借助卡诺图。
例3 用4路选择器实现如下4变量逻辑函数的功能
?? ?F(A,B,C,D)=∑m(1,2,4,9, 10,11,12,14,15)?
解 用4路选择器实现该函数时,应从卡诺图的4个变量中选出2个作为MUX的选择控制变量。原则上讲,这种选择是任意的,但选择合适时可使设计简化。
①选用变量A和B作为选择控制变量
假定选用变量A和B作为选择控制变量,首先作出函数的卡诺图如图7.17(a)所示。
图7.17 例3 的两种方案
A、B两个选择变量按其组合将原卡诺图划分为4个子卡诺图--2变量卡诺图(对应变量C和D),如图中虚线所示。各子卡诺图所示的函数就是与其选择控制变量对应的数据输入函数Di。求数据输入函数时,函数化简可以在卡诺图上进行。注意:由于一个数据输入对应选择控制变量的一种取值组合,因此,化简只能在相应的子卡诺图内进行,即不能越过图中虚线。分别化简图7.17(a)中的每个子卡诺图,见图中实线圈(标注这些圈对应的&与&项时应去掉选择控制变量),即可得到各数据输入函数Di分别为
据此,可得到实现给定函数的逻辑电路图如图7.17(b)所示。除4路选择器外,附加了4个逻辑门。
②选用变量B和C作为选择控制变量
如果选用变量B和C作为选择控制变量,则各数据输入函数对应的子卡诺图(对应变量A和D)如图7.17(c)所示。经卡诺图化简后,可得到各数据输入函数为
相应逻辑电路图如图7.17(d)所示,只附加一个与非门。显然,实现给定函数用B、C作为选择控制变量更简单。
由上述可见,用n个选择控制变量的MUX实现m个变量(m-n≥2)的函数时,MUX的数据输入函数Di一般是2个或2个以上变量的函数。函数Di的复杂程度与选择控制变量的确定相关,只有通过对各种方案的比较,才能从中得到最简单而且经济的方案。
例4 用一片T580双4路选择器实现4变量多输出函数。 函数表达式为
F1(A,B,C,D)=∑m(0,1,5,7,10,13,15)?
F2(A,B,C,D)=∑m(8,10,12,13,15)??
解 假定选取函数变量A、B作为MUX的选择控制变量A1、A0 ,可作出F1、F2的卡诺图如图7.18所示。
图7.18 Di的卡诺图合并情况
图中,Di对应的子卡诺图即为卡诺图的各列。若令T580的1W=F1,2W=F2,则化简后可得
实现函数F1和F2的电路图如图7.19所示。
图7.19 逻辑电路图
二.多路分配器?
多路分配器(Demultiplexer)又称数据分配器,常用DEMUX表示。多路分配器的结构与多路选择器正好相反,它是一种单输入、多输出组合逻辑部件,由选择控制变量决定输入从哪一路输出。图7.20所示为4路分配器的逻辑符号。
图7.20 四路数据分配器的逻辑符号
图中,D为数据输入端,A1、A0为选择控制输入端,f0~f3为数据输出端。其功能表如表7.5所示。?
表7.5 四路分配器功能表
f0 f1 f2 f3
由功能表可知,4路分配器的输出表达式为
式中,mi(i=0~3)是选择控制变量的4个最小项。?
多路分配器常与多路选择器联用,以实现多通道数据分时传送。通常在发送端由MUX将各路数据分时送上公共传输线(总线),接收端再由DEMUX将公共线上的数据适时分配到相应的输出端。图7.21所示是利用一根数据传输线分时传送8路数据的示意图,在公共选择控制变量 ABC的控制下,实现Di-fi的传送(i=0~7)。
图7.21 8路数据传输示意图
以上对几种最常用的MSI组合逻辑电路进行了介绍,在逻辑设计时可以灵活使用这些电路实现各种逻辑功能。
例5 用8路选择器和3-8线译码器构造一个3位二进制数等值比较器。
解 设比较的两个3位二进制数分别为ABC和XYZ,将译码器和多路选择器按图 7.22所示进行连接,即可实现ABC和XYZ的等值比较。
图7.22 比较器逻辑电路图
从图7.22可知,若ABC=XYZ,则多路选择器的输出F=0,否则F=1。例如,当ABC=010时,译码器输出Y2=0 ,其余均为1。若多路选择器选择控制变量XYZ=ABC=010,则选通D2送至输出端F,由于D2=Y2=0,故F=0;若XYZ≠010,则多路选择器会选择D2之外的其他数据输入送至输出端F,由于与其余数据输入端相连的译码器输出均为1,故F为1。
用类似方法,采用合适的译码器和多路选择器可构成多位二进制数比较器。
另外,团IDC网上有许多产品团购,便宜有口碑
2个回答1个回答1个回答1个回答1个回答1个回答1个回答1个回答1个回答1个回答1个回答1个回答1个回答1个回答
相关文章:
最新添加资讯
24小时热门资讯
Copyright © 2004- All Rights Reserved. 中国学网 版权所有
京ICP备号-1 京公网安备02号8选1数据选择器74LS151_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
8选1数据选择器74LS151
上传于||暂无简介
阅读已结束,如果下载本文需要使用0下载券
想免费下载更多文档?
定制HR最喜欢的简历
你可能喜欢8选1数据选择器74LS151-五星文库
免费文档下载
8选1数据选择器74LS151
导读:8选1数据选择器74LS151,74LS151为互补输出的8选1数据选择器,选择控制端(地址端)为C~A,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y,则选择D0数据到输出端,则选择D1数据到输出端,即Y=D1,往往要求将并行输出的数据转换成串行输出,用数据选择器很容易完成这种转换,例如将四位的并行数据送到
8选1数据选择器74LS151
74LS151为互补输出的8选1数据选择器,引脚排列如图所示,功能见表。
选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。
(1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。838电子 (2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。
如:CBA=000,则选择D0数据到输出端,即Y=D0。新艺图库
如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。工作原理ab126计算公式大全 74LS151功能表:
在数字系统中,往往要求将并行输出的数据转换成串行输出,用数据选择器很容易完成这种转换。例如将四位的并行数据送到四选一数据选择器的数据端上,然后在A1,A0地址输入端周期性顺序给出00 01 10 11,则在输出端将输出串行数据,不断重复。
数据选择器除了能从多路数据中选择输出信号外,还可以实现并行数据到串行数据的转换,作函数发生器等。 1.逻辑特性
(1) 逻辑功能:从多路输入中选中某一路送至输出端,输出对输入的选择受选择控制量控制。通常,对于一个具有2n路输入和一路输出的多路选择器有n个选择控制变量,控制变量的每种取值组合对应选中一路输入送至输出。
(2) 构成思想: 多路选择器的构成思想相当于一个单刀多掷开关,即
? 数据选择器的原理
o 74LS151为互补输出的8选1数据选择器,引脚排列如图3-2,功能如表3-1。
选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,为使能端,低电平有效。 图
74LS151引脚排列
使能端=1时,不论A2~A0状态如何,均无输出(Q=0,=1),多路开关被禁止。 1)使能端=0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中某一个通道的数据输送到输出端Q。
如:A2A1A0=000,则选择D0数据到输出端,即Q=D0。
如:A2A1A0=001,则选择D1数据到输出端,即Q=D1,其余类推。
数据选择器的定义及功能
数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的作用相当于多个输入的单刀多掷开关,其示意图如下所示。
下面以4选1数据选择器为例,说明工作原理及基本功能。其逻辑图为:
功能表为:
为了对4个数据源进行选择,使用两位地址码BA产生4个地址信号。由BA等于00、01、10、11分别控制四个与门的开闭。显然,任何时候BA只有一种可能的取值,所以只有一个与门打开,使对应的那一路数据通过,送达Y端。输入使能端G是低电平有效,当G=1时,所有与门都被封锁,无论地址码是什么,Y总是等于0;当G=0时
,封锁解除,由地址码决定哪一个与门打开。
同样原理,可以构成更多输入通道的数据选择器。被选数据源越多,所需地址码的位数也越多,若地址输入端为N,可选输入通道数为2n。 二、集成电路数据选择器
1.74LS151集成电路数据选择器的功能
74LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可选择D0~D7 8个数据源,具有两个互补输出端,同相输出端Y和反相输出端W。其逻辑图和引脚图分别如下所示:
由逻辑图可知,该逻辑电路的基本结构为“与一或一非”形式。输入使能G为低电平有效。输出Y的
表达式为: 式中
。Mi为CBA的最小项。例如,当CBA=010时,根据最小项性质,只有M2为1,其余各项为0,故得Y=D2,即只有D2传送到输出端。
上面所讨论的是1位数据选择器,如需要选择多位数据时,可由几个1位数据选择器并联组成,即将它们的使能端连在一起,相应的选择输入端连在一起。2位8选1数据选择器的连接方法如下图所示 。当需要进一步扩充位数时,只需相应地增加器件的数目。
可以把数据选择器的使能端作为地址选择输入 ,将两片74LS151连接成一个16选1的数据选择器,其连接方式如下图所示。16选16选1的数据选择器的地址选择输入有4为4位,其最高位D与一个8选1数据选择器的使能端连接,经过一反相器反相后与零一另一个数据选择器的使能端连接。低3位地址选择输入端CBA由两片74LS151的地址选择输入端相对应连接而成。 2.数据选择器的应用
数据选择器除实现有选择的传送数据外,还有其他用途,下面介绍几种典型应用。 (1)逻辑函数产生器
从74LS151得逻辑图可以看出,当使能端G=0时,Y是C、B、A和输入数据D0~D7的与或函数,它的表达式可以写成
式中mi是C、B、A构成的最小项。显然。当Di=1时,其对应的最小项mi在与或表达式中出现,当Di=0时,对应的最小项就不出现。利用这一点,不难实现组合逻辑函数。
已知逻辑函数,利用数据选择器构成函数产生器的过程是,将函数变换成最小项表达式,根据最小项表达式确定各数据输入端的二元常量。将数据选择器的地址信号C、B、A作为函数的输入变量,数据输入D0~D7,作为控制信号,控制各最小项在输出逻辑函数中是否出现,使能端G始终保持低电平,这样8选1数据选择器就成为一个3变量的函数产生器。
例1 试用8选1数据选择器74LS151产生逻辑函数
解:把式变换成最小项表达式:
显然D3、D5、D6、D7,都应该等于1 ,而式中没有出现的最小项m0,m1,m2,m4的控制变量D0、D1、D2、D4都应该等于0,由此可画出该逻辑函数产生器的逻辑图:、
例2 试用与上例相同的8选1数据选择器产生
解:根据表达式列出真值表如下:
从表中可以看出,凡使L值为1的那些最小项,其控制变量应该等于1,即D1、D2、D4、D7等于1(对应XYZ:001、010、100、111),其他控制变量均等于0。由此可得逻辑函数产生器:
通过上面两例可以看出,与用各种逻辑门设计组合逻辑电路相比 ,使用数据选择器的好处是无需对函数化简。
(2)实现并行数据到串行数据的转换
上图是实现并/串行转换的电路框图和输出信号时序图。
电路由8选1数据选择器和1个3位二进制计数器构成。计数器的作用是累计时钟脉冲的个数,当时钟脉冲CP一个接一个送入时,计数器的输出端Q2Q1Q0从000→001→010→?→111依次变化。由于Q2Q1Q0与选择器的地址输入端C、B、A相连,因此C、B、A就随时钟脉冲的逐个输入从000到111变化,选择器的输出Y随之接通D0、D1、D2
、?Dn。当选择器的数据输入端D0~D7与一个并行8位数相连时,输出端得到的就是一串随时钟节拍变化的数据0-1-0-0
-1-1-0-1,这种数称为串行数据。为了简单起见,图中时序图的时钟脉冲CP画成了尖窄脉冲。
包含总结汇报、专业文献、应用文书、文档下载、办公文档、IT计算机、旅游景点、计划方案、资格考试以及8选1数据选择器74LS151等内容。
相关内容搜索1.&进一步掌握VHDL语言的基本结构及设计的输入方法。
2.&掌握8选1数据选择器的设计思路;
1.&用拨码开关SW7~SW0作为数据输入端D7~D0的输入,SW10~SW8作为数据选择端C、B、A的输入,SW11作为选通端STROBE的输入,&LEDR0作为数据输出端Y的输出,&LEDR1作为反码数据输出端W的输出。
2.&观察Y与数据输入端D7~D0的关系,验证八选一数据选择器设计的正确性。
CT74151为互补输出的8选1数据选择器,其引脚排列图如图2-28所示。数据选择端(ABC)按二进制译码,从8&个数据(D0---D7)中选取1&个所需的数据。只有在选通端STROBE&为低电平时才可选择数据。151&有互补输出端(Y、W),Y&输出原码,W&输出反码。
图2-28&&&CT74151管教图
表2-3&CT74151功能表
1.创建一个Quartus&II&工程,用来在DE2-115上实现预期电路。
2.写出预期电路的VHDL代码。
3.将VHDL文件包含进工程并编译。
4.仿真电路来检测其功能。
5.分配引脚。
6.重新编译并将其下载进FPGA芯片中。
通过DE2-115开发板来证实电路是否准确。
LIBRARY&IEEE;
USE&IEEE.STD_LOGIC_1164.ALL;
USE&IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY&CT74151&IS
&PORT(A,B,C,D0,D1,D2,D3,D4,D5,D6,D7,STROBE:&IN&STD_LOGIC;
&&&&&&&&&&Y,WN:&OUT&STD_LOGIC);
END&CT74151;
ARCHITECTURE&behave&OF&CT74151&IS
&&&SIGNAL&sel:&STD_LOGIC_VECTOR&(2&DOWNTO&0);
&&&sel&=C&B&A;
&&&PROCESS&(sel,STROBE)
&&VARIABLE&Y_TEMP:STD_LOGIC;
&&&&&IF&STROBE=’0’&THEN
CASE&sel&IS
&&&&&&&WHEN&&000&&=&&Y_TEMP&:=D0;
&&&&&&&WHEN&&001&&=&&Y_TEMP&:=D1;
&&&&&&&WHEN&&010&&=&&Y_TEMP&:=D2;
&&&&&&&WHEN&&011&&=&&Y_TEMP&:=D3;
&&&&&&&WHEN&&100&&=&&Y_TEMP&:=D4;
&&&&&&&WHEN&&101&&=&&Y_TEMP&:=D5;
&&&&&&&WHEN&&110&&=&&Y_TEMP&:=D6;
&&&&&&&WHEN&&111&&=&&Y_TEMP&:=D7;
&&&&&&&WHEN&OTHERS=&&Y_TEMP&:='X';&
&&&END&CASE;
Y&=Y_TEMP;
WN&=NOT&Y_TEMP;
&&END&PROCESS;
问题及解决
文件名必须与VHDL文件中的设计实体名保持一致。
总结:这次课程设计虽然在功能上完全实现了课题的要求,即实现了八选一数据选择器的全部要求,但是相应的不足之处还应该考虑到。怎样更好地布局以及连线,使整个电路图不至于杂乱无章等等问题,虽然是细节问题,但往往可以决定成败,这些问题都应该引以为戒并作为以后设计相关电路时的参考。&
参考知识库
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:13761次
排名:千里之外
原创:77篇
转载:34篇
(1)(1)(9)(8)(2)(1)(8)(2)(14)(21)(21)(19)(4)(1)数据选择器的工作原理和应用
查看: 1428|
摘要: 除了前面讲过的编码器、译码器,还有一种经常使用的集成组合逻辑电路器件,就是数据选择器。数据选择器的功能是根据地址选择码从多路输入数据中选择一路送到输出。其作用可用图1所示的单刀多掷开关表示。D0~D2n-1为输入数据,Y为选 ...
除了前面讲过的编码器、译码器,还有一种经常使用的集成组合逻辑电路器件,就是数据选择器。数据选择器的功能是根据地址选择码从多路输入数据中选择一路送到输出。其作用可用图1所示的单刀多掷开关表示。D0~D2n-1为输入数据,Y为选择输出的数据,S是选择开关,实际上是n位地址信号,最大可以控制选择的数据为2n个。 
图1 数据选择器的功能示意图
1、数据选择器的工作原理
常用的数据选择器有4选1、8选1、16选1等多种类型。下面以4选1数据选择器为例介绍数据选择器的工作原理。
根据前面介绍的数据选择器的功能,可以列出4选1数据选择器的逻辑功能表,如表5-7-1所示。其中D0~D3为数据输入端,A0、A1为数据选择端。
表5-7-1 4选1数据选择器的逻辑功能表
由逻辑功能表可以写出输出与输入之间的表达式为
由逻辑表达式画出4选1数据选择器逻辑电路2所示。
图2 4选1数据选择器的逻辑
74LS153是一种集成的双4选1数据选择器逻辑器件。图3所示为74LS153的逻辑电路图和框图。
图3 74LS153双4选1数据选择器
由图3(a)可知,74LS153的逻辑电路中包含两个4选1数据选择器,它们的数据输入端分别为D10、D11、D12、D13和D20、D21、D22、D23,数据输出端分别为Y1和Y2。它们有公共的地址选择输入端A0、A1。除此之外,还各自有有一个使能控制端和。由图3(a)可以写出输入与输出之间的逻辑函数式为
由式(2)可以看出,只有当使能控制端=0、=0时,数据选择器才能正常工作,否则数据输出端锁定在低电平。故使能控制端为低电平有效。
74LS153的逻辑功能表如表2所示。
表2 74LS153的逻辑功能表
2、数据选择器的应用
(1)数据选择器的扩展应用
可以用多片少数据输入的数据选择器设计多数据输入的数据选择器。
例1:用74LS153设计一个8选1的数据选择器。
解:74LS153是一个双4选1数据选择器。有两个公用的地址选择输入端,8个数据输入端。8选1数据选择器需要3个地址输入端(23=8),因此需要用使能控制端来补充地址输入端的不足。用双4选1数据选择器芯片74LS153设计的8选1数据选择器的电路如图4所示。
图4 用双4选1数据选择器接成8选1数据选择器的电路图
当A2=0时,上边的4选1数据选择器工作,根据地址输入端A0、A1的状态,输出端Y1选择输出D0~D3,此时Y2=0,故Y=Y1;当A2=1时,下边的4选1数据选择器工作,根据地址输入端A0、A1的状态,输出端Y2选择输出D4~D7,此时Y1=0,故Y=Y2。逻辑函数式为
也可以添加使能控制端对所接成的8选1数据选择器的工作状态进行控制。
添加使能控制端的8选1数据选择器的电路如图5所示。
图5 有使能控制端的8选1数据选择器框图
由图5可知,当=0 时,8选1数据选择器正常工作;当=1时,8选1数据选择器的输出被锁定在低电平。
(2)用数据选择器设计组合逻辑电路
由表2可知,具有两位地址输入A0、A1的4选1数据选择器,当使能控制端=0时,输出与输入之间的逻辑关系式为
若将A0、A1作为两个输入变量,同时令D0~D3为第三个变量的适当状态(包括原变量、反变量、0和1),就可以用4选1数据选择器实现任何形式的三变量组合逻辑函数。
同理,用由n位地址输入端的数据选择器可以实现任何形式的变量数不大于n+1的组合逻辑函数。
例2:用4选1数据选择器实现以下组合逻辑函数:
解:将式(4)化成与式(5)相对应的形式:
将式(6)与式(4)比较可知,只要令数据选择器的数据输入端为
、、、、、
则数据选择器的输出就是所要表达的组合逻辑函数。
上一篇:下一篇:
Powered by &
这里是—这里可以学习 —这里是。
栏目导航:

我要回帖

更多关于 四选一数据选择器 的文章

 

随机推荐