哪位大神知道quartusii 9.0教程怎么破解?说的详细点...

新人求助,哪位大神有Quartusii 7.0啊_数电吧_百度贴吧
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&签到排名:今日本吧第个签到,本吧因你更精彩,明天继续来努力!
本吧签到人数:0成为超级会员,使用一键签到本月漏签0次!成为超级会员,赠送8张补签卡连续签到:天&&累计签到:天超级会员单次开通12个月以上,赠送连续签到卡3张
关注:1,837贴子:
新人求助,哪位大神有Quartusii 7.0啊收藏
图片来自:
我这没有哦,有的话早就给你呢
登录百度帐号我的游戏推荐游戏
后查看最近玩过的游戏
使用签名档&&
为兴趣而生,贴吧更懂你。或求助VHDL大神,quartusII怎么仿真啊,程序已经写好_百度知道
提问者采纳
把clk1hz设置方波 频率你自己设置 1hz也行不过 要调节endtime
设置完后 运行方针led应该会显示数字 之后在某个时刻 将mode 或是set设置为高电平 看led的显示是否符合自己的设想 不符合的话 是你程序有问题了
可是完了以后那些LED除了第一个,剩下的都是问号,而且第一个也乱七八糟
提问者评价
已经解决了,是输出信号的显示设置的问题、、还是谢谢啦
其他类似问题
quartusii的相关知识
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁quartus9.0 ii 怎么破解_百度知道
quartus9.0 ii 怎么破解
提问者采纳
下载一个9.0的破解器就可以了我的网盘里面有的
其他类似问题
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁Quartus II 9.0破解不了是什么问题?http:///view/5be6184169eae009581becf4.html_百度知道
Quartus II 9.0破解不了是什么问题?http:///view/5be6184169eae009581becf4.html
我按照上面网站的方法进行破解,但是每次打开软件都会出现提示框,这样不就是没有破解成功吗?另外&我看教程上面破解之后为:而我的却是:&为什么会这样呢?求教!怎么样才能破解好呢?
都没有找到你的NIC ID,不知道你在和谐文件中用的是什么替换的XXXXXXXXX。尝试下看看你的网卡是否正常。同时是否在win7下运行,请注意以管理员运行。
但是我明明已经替换了啊& 而且&我发现有两个地址,不知道是那一个(我用的台式电脑&但是自己加了一个无线网卡)&但是那个文档里&两个地址是一样的
用b70就是NIC ID第一个替换XXXXXXXXXXX,另外你用破解器和谐sys_cpt.dll了吗?
破解说明里不是说:(如果直接把该破解器Copy到C:\altera\90\quartus\bin下,就不会出现这个对话框,而是直接开始破解!)然后选中sys_cpt.dll,点击“打开”。安装默认的sys_cpt.dll路径是在C:\altera\90\quartus\bin下)。我把破解器复制到里面不是就可以不用自己手动去和谐了吗?
就是运行破解器,如果在同一个路径下,不用指定sys_cpt.dll,但是仍然需要手工指定生成的license.dat文件生成的路径,然后在用记事本编辑该.dat文件,用08....70替换文件中的xx....xx,最后在quartus中license setup中指向该.dat所放的路径。再试试。
其他&2&条热心网友回答
重新下载个破解器,按照说明改掉物理地址就好啦
物理地址有两个到底是那一个呢?&
我的也是这个问题,原来license.dat中有两处xxxxxxxxxxxx需要修改,我先前只改了一处。

我要回帖

更多关于 quartusii 9.0教程 的文章

 

随机推荐